第一次运行svlib
svlib是一个开源systemverilog的库,拓展了字符串和正则特性,链接是
https://github.com/recogni/svlib
最近在翻译它的文档
svlib_test.sv:
module svlib_test ();
import svlib_pkg::*;
Str str_test;
initial begin
str_test=Str::create("hello svlib");
$display(str_test.get());
end
endmodule
makefile
all:comp
svlibRoot=./svlib
comp:
vcs -full64 -sverilog +incdir+$(svlibRoot)/src -f ./flist.f -LDFLAGS -lrt svlib_test.sv -R
flist.f
./svlib/src/svlib_pkg.sv
./svlib/src/dpi/svlib_dpi.c
运行结果
hello svlib
注意,把文件svlib_macros.svh
中的// toDOM(name);
和// fromDOM(cfgNodeMap dom);
否则会报错
注释好像让后面的换行斜杠失效了