• 第46章 DCMI—OV5640摄像头—零死角玩转STM32-F429系列


    第46章     DCMI—OV5640摄像头

    全套200集视频教程和1000PDF教程请到秉火论坛下载:www.firebbs.cn

    野火视频教程优酷观看网址:http://i.youku.com/firege

    本章参考资料:《STM32F4xx参考手册》、《STM32F4xx规格书》、库帮助文档《stm32f4xx_dsp_stdperiph_lib_um.chm》。

    关于开发板配套的OV5640摄像头参数可查阅《ov5640datasheet》配套资料获知。

    STM32F4芯片具有浮点运算单元,适合对图像信息使用DSP进行基本的图像处理,其处理速度比传统的816位机快得多,而且它还具有与摄像头通讯的专用DCMI接口,所以使用它驱动摄像头采集图像信息并进行基本的加工处理非常适合。本章讲解如何使用STM32驱动OV5640型号的摄像头。

    46.1 摄像头简介

    在各类信息中,图像含有最丰富的信息,作为机器视觉领域的核心部件,摄像头被广泛地应用在安防、探险以及车牌检测等场合。摄像头按输出信号的类型来看可以分为数字摄像头和模拟摄像头,按照摄像头图像传感器材料构成来看可以分为CCDCMOS。现在智能手机的摄像头绝大部分都是CMOS类型的数字摄像头。

    46.1.1 数字摄像头跟模拟摄像头区别

        输出信号类型

    数字摄像头输出信号为数字信号,模拟摄像头输出信号为标准的模拟信号。

        接口类型

    数字摄像头有USB接口(比如常见的PC端免驱摄像头)IEE1394火线接口(由苹果公司领导的开发联盟开发的一种高速度传送接口,数据传输率高达800Mbps)、千兆网接口(网络摄像头)。模拟摄像头多采用AV视频端子(信号线+地线)或S-VIDEO(即莲花头--SUPER VIDEO,是一种五芯的接口,由两路视频亮度信号、两路视频色度信号和一路公共屏蔽地线共五条芯线组成)。

        分辨率

    模拟摄像头的感光器件,其像素指标一般维持在752(H)*582(V)左右的水平,像素数一般情况下维持在41万左右。现在的数字摄像头分辨率一般从数十万到数千万。但这并不能说明数字摄像头的成像分辨率就比模拟摄像头的高,原因在于模拟摄像头输出的是模拟视频信号,一般直接输入至电视或监视器,其感光器件的分辨率与电视信号的扫描数呈一定的换算关系,图像的显示介质已经确定,因此模拟摄像头的感光器件分辨率不是不能做高,而是依据于实际情况没必要做这么高。

    46.1.2 CCD与CMOS的区别

    摄像头的图像传感器CCDCMOS传感器主要区别如下:

        成像材料

    CCDCMOS的名称跟它们成像使用的材料有关,CCD"电荷耦合器件"(Charge Coupled Device)的简称,而CMOS"互补金属氧化物半导体"(Complementary Metal Oxide Semiconductor)的简称。

        功耗

    由于CCD的像素由MOS电容构成,读取电荷信号时需使用电压相当大(至少12V)的二相或三相或四相时序脉冲信号,才能有效地传输电荷。因此CCD的取像系统除了要有多个电源外,其外设电路也会消耗相当大的功率。有的CCD取像系统需消耗2~5W的功率。而CMOS光电传感器件只需使用一个单电源5V3V,耗电量非常小,仅为CCD1/8~1/10,有的CMOS取像系统只消耗20~50mW的功率。

        成像质量

    CCD传感器件制作技术起步早,技术成熟,采用PN结或二氧化硅(sio2)隔离层隔离噪声,所以噪声低,成像质量好。与CCD相比,CMOS的主要缺点是噪声高及灵敏度低,不过现在随着CMOS电路消噪技术的不断发展,为生产高密度优质的CMOS传感器件提供了良好的条件,现在的CMOS传感器已经占领了大部分的市场,主流的单反相机、智能手机都已普遍采用CMOS传感器。

    46.2 OV5640摄像头

    本章主要讲解实验板配套的摄像头,它的实物见图 461,该摄像头主要由镜头、图像传感器、板载电路及下方的信号引脚组成。

    461 实验板配套的OV5640摄像头

    镜头部件包含一个镜头座和一个可旋转调节距离的凸透镜,通过旋转可以调节焦距,正常使用时,镜头座覆盖在电路板上遮光,光线只能经过镜头传输到正中央的图像传感器,它采集光线信号,然后把采集得的数据通过下方的信号引脚输出数据到外部器件。

    46.2.1 OV5640传感器简介

    图像传感器是摄像头的核心部件,上述摄像头中的图像传感器是一款型号为OV5640CMOS类型数字图像传感器。该传感器支持输出最大为500万像素的图像 (2592x1944分辨率),支持使用VGA时序输出图像数据,输出图像的数据格式支持YUV(422/420)YCbCr422RGB565以及JPEG格式,若直接输出JPEG格式的图像时可大大减少数据量,方便网络传输。它还可以对采集得的图像进行补偿,支持伽玛曲线、白平衡、饱和度、色度等基础处理。根据不同的分辨率配置,传感器输出图像数据的帧率从15-60帧可调,工作时功率在150mW-200mW之间。

    46.2.2 OV5640引脚及功能框图

    OV5640模组带有自动对焦功能,引脚的定义见图 462

    462 OV5640传感器引脚分布图

    信号引脚功能介绍如下,介绍如下表 461

    461 OV5640管脚

    管脚名称

    管脚类型

    管脚描述

    SIO_C

    输入

    SCCB总线的时钟线,可类比I2CSCL

    SIO_D

    I/O

    SCCB总线的数据线,可类比I2CSDA

    RESET

    输入

    系统复位管脚,低电平有效

    PWDN

    输入

    掉电/省电模式,高电平有效

    HREF

    输出

    行同步信号

    VSYNC

    输出

    帧同步信号

    PCLK

    输出

    像素同步时钟输出信号

    XCLK

    输入

    外部时钟输入端口,可接外部晶振

    Y2Y9

    输出

    像素数据输出端口

    下面我们配合图 463中的OV5640功能框图讲解这些信号引脚。

    463 OV5640功能框图

    (5)    控制寄存器

    标号处的是OV5640的控制寄存器,它根据这些寄存器配置的参数来运行,而这些参数是由外部控制器通过SIO_CSIO_D引脚写入的,SIO_CSIO_D使用的通讯协议跟I2C十分类似,在STM32中我们完全可以直接用I2C硬件外设来控制。

    (6)    通信、控制信号及时钟

    标号‚处包含了OV5640的通信、控制信号及外部时钟,其中PCLKHREFVSYNC分别是像素同步时钟、行同步信号以及帧同步信号,这与液晶屏控制中的信号是很类似的。RESETB引脚为低电平时,用于复位整个传感器芯片,PWDN用于控制芯片进入低功耗模式。注意最后的一个XCLK引脚,它跟PCLK是完全不同的,XCLK是用于驱动整个传感器芯片的时钟信号,是外部输入到OV5640的信号;而PCLKOV5640输出数据时的同步信号,它是由OV5640输出的信号。XCLK可以外接晶振或由外部控制器提供,若要类比XCLK之于OV5640就相当于HSE时钟输入引脚与STM32芯片的关系,PCLK引脚可类比STM32I2C外设的SCL引脚。

    (7)    感光矩阵

    标号ƒ处的是感光矩阵,光信号在这里转化成电信号,经过各种处理,这些信号存储成由一个个像素点表示的数字图像。

    (8)    数据输出信号

    标号„处包含了DSP处理单元,它会根据控制寄存器的配置做一些基本的图像处理运算。这部分还包含了图像格式转换单元及压缩单元,转换出的数据最终通过Y0-Y9引脚输出,一般来说我们使用8根据数据线来传输,这时仅使用Y2-Y9引脚,OV5640与外部器件的连接方式见图 464

    464     8位数据线接法

    (9)    数据输出信号

    标号⑤处为VCM处理单元,他会通过图像分析来实现图像的自动对焦功能。要实现自动对焦还需要下载自动对焦固件到模组,后面摄像头实验详细介绍这个功能。

    46.2.3 SCCB时序

    外部控制器对OV5640寄存器的配置参数是通过SCCB总线传输过去的,而SCCB总线跟I2C十分类似,所以在STM32驱动中我们直接使用片上I2C外设与它通讯。SCCB与标准的I2C协议的区别是它每次传输只能写入或读取一个字节的数据,而I2C协议是支持突发读写的,即在一次传输中可以写入多个字节的数据(EEPROM中的页写入时序即突发写)。关于SCCB协议的完整内容可查看配套资料里的《SCCB协议》文档,下面我们简单介绍下。

    SCCB的起始、停止信号及数据有效性

    SCCB的起始信号、停止信号及数据有效性与I2C完全一样,见图 465及图 466

        起始信号:在SIO_C为高电平时,SIO_D出现一个下降沿,则SCCB开始传输。

        停止信号:在SIO_C为高电平时,SIO_D出现一个上升沿,则SCCB停止传输。

        数据有效性:除了开始和停止状态,在数据传输过程中,当SIO_C为高电平时,必须保证SIO_D上的数据稳定,也就是说,SIO_D上的电平变换只能发生在SIO_C为低电平的时候,SIO_D的信号在SIO_C为高电平时被采集。

    465 SCCB停止信号

    466 SCCB的数据有效性

    SCCB数据读写过程

    SCCB协议中定义的读写操作与I2C也是一样的,只是换了一种说法。它定义了两种写操作,即三步写操作和两步写操作。三步写操作可向从设备的一个目的寄存器中写入数据,见图 467。在三步写操作中,第一阶段发送从设备的ID地址+W标志(等于I2C的设备地址:7位设备地址+读写方向标志),第二阶段发送从设备目标寄存器的16位地址,第三阶段发送要写入寄存器的8位数据。图中的"X"数据位可写入10,对通讯无影响。

    467 SCCB的三步写操作

    而两步写操作没有第三阶段,即只向从器件传输了设备ID+W标志和目的寄存器的地址,见图 468。两步写操作是用来配合后面的读寄存器数据操作的,它与读操作一起使用,实现I2C的复合过程。

    468 SCCB的两步写操作

    两步读操作,它用于读取从设备目的寄存器中的数据,见图 469。在第一阶段中发送从设备的设备ID+R标志(设备地址+读方向标志)和自由位,在第二阶段中读取寄存器中的8位数据和写NA (非应答信号)。由于两步读操作没有确定目的寄存器的地址,所以在读操作前,必需有一个两步写操作,以提供读操作中的寄存器地址。

    469 SCCB的两步读操作

    可以看到,以上介绍的SCCB特性都与I2C无区别,而I2CSCCB还多出了突发读写的功能,所以SCCB可以看作是I2C的子集,我们完全可以使用STM32I2C外设来与OV5640进行SCCB通讯。

    46.2.4 OV5640的寄存器

    控制OV5640涉及到它很多的寄存器,可直接查询《ov5640datasheet》了解,通过这些寄存器的配置,可以控制它输出图像的分辨率大小、图像格式及图像方向等。要注意的是OV5640寄存器地址为16位。

    官方还提供了一个《OV5640_自动对焦照相模组应用指南(DVP_接口)__R2.13C.pdf》的文档,它针对不同的配置需求,提供了配置范例,见图 4610。其中write_SCCB是一个利用SCCB向寄存器写入数据的函数,第一个参数为要写入的寄存器的地址,第二个参数为要写入的内容。

    4610 调节帧率的寄存器配置范例

    46.2.5 像素数据输出时序

    OV5640采用SCCB协议进行控制,而它输出图像时则使用VGA时序(还可用SVGAUXGA,这些时序都差不多),这跟控制液晶屏输入图像时很类似。OV5640输出图像时,一帧帧地输出,在帧内的数据一般从左到右,从上到下,一个像素一个像素地输出(也可通过寄存器修改方向),见图 4611

    4611 摄像头数据输出

    例如,图 4612,若我们使用Y2-Y9数据线,图像格式设置为RGB565,进行数据输出时,Y2-Y9数据线会在1个像素同步时钟PCLK的驱动下发送1字节的数据信号,所以2PCLK时钟可发送1RGB565格式的像素数据。像素数据依次传输,每传输完一行数据时,行同步信号HREF会输出一个电平跳变信号,每传输完一帧图像时,VSYNC会输出一个电平跳变信号。

    4612 DVP接口时序

    46.3 STM32的DCMI接口简介

    STM32F4系列的控制器包含了DCMI数字摄像头接口(Digital camera Interface),它支持使用上述类似VGA的时序获取图像数据流,支持原始的按行、帧格式来组织的图像数据,如YUVRGB,也支持接收JPEG格式压缩的数据流。接收数据时,主要使用HSYNCVSYNC信号来同步。

    46.3.1 DCMI整体框图

    STM32DCMI接口整体框图见图 4613

    4613 DCMI接口整体框图

    外部接口及时序

    上图标号处的是DCMI向外部引出的信号线。DCMI提供的外部接口的方向都是输入的,接口的各个信号线说明见表 462

    462 DCMI的信号线说明

    引脚名称

    说明

    DCMI_D[0:13]

    数据线

    DCMI_PIXCLK

    像素同步时钟

    DCMI_HSYNC

    行同步信号(水平同步信号)

    DCMI_VSYNC

    帧同步信号(垂直同步信号)

    其中DCMI_D数据线的数量可选8101214位,各个同步信号的有效极性都可编程控制。它使用的通讯时序与OV5640的图像数据输出接口时序一致,见图 4614

    4614 DCMI时序图

    内部信号及PIXCLK的时钟频率

    4613的标号‚处表示DCMI与内部的信号线。在STM32的内部,使用HCLK作为时钟源提供给DCMI外设。从DCMI引出有DCMI_IT信号至中断控制器,并可通过DMA_REQ信号发送DMA请求。

    DCMI从外部接收数据时,在HCLK的上升沿时对PIXCLK同步的信号进行采样,它限制了PIXCLK的最小时钟周期要大于2.5HCLK时钟周期,即最高频率为HCLK1/4

    46.3.2 DCMI接口内部结构

    DCMI接口的内部结构见图 4615

    4615 DCMI接口内部结构

    (6)    同步器

    同步器主要用于管理DCMI接收数据的时序,它根据外部的信号提取输入的数据。

    (7)    FIFO/数据格式化器

    为了对数据传输加以管理,STM32DCMI接口上实现了 4 个字(32bit x4)深度的 FIFO,用以缓冲接收到的数据。

    (8)    AHB接口

    DCMI接口挂载在AHB总线上,在AHB总线中有一个DCMI接口的数据寄存器,当我们读取该寄存器时,它会从FIFO中获取数据,并且FIFO中的数据指针会自动进行偏移,使得我们每次读取该寄存器都可获得一个新的数据。

    (9)    控制/状态寄存器

    DCMI的控制寄存器协调图中的各个结构运行,程序中可通过检测状态寄存器来获DCMI的当前运行状态。

    (10)    DMA接口

    由于DCMI采集的数据量很大,我们一般使用DMA来把采集得的数据搬运至内存。

    46.3.3 同步方式

    DCMI接口支持硬件同步或内嵌码同步方式,硬件同步方式即使用HSYNCVSYNC作为同步信号的方式,OV5640就是使用这种同步时序。

    而内嵌码同步的方式是使用数据信号线传输中的特定编码来表示同步信息,由于需要用0x000xFF来表示编码,所以表示图像的数据中不能包含有这两个值。利用这两个值,它扩展到4个字节,定义出了2种模式的同步码,每种模式包含4个编码,编码格式为0xFF0000XY,其中XY的值可通过寄存器设置。当DCMI接收到这样的编码时,它不会把这些当成图像数据,而是按照表 463中的编码来解释,作为同步信号。

    463两种模式的内嵌码

    模式2的内嵌码

    模式1的内嵌码

    帧开始(FS)

    有效行开始(SAV)

    帧结束(FE)

    有效行结束(EAV)

    行开始(LS)

    帧间消隐期内的行开始(SAV),其中消隐期内的即为无效数据

    行结束(LS)

    帧间消隐期内的行结束(EAV),其中消隐期内的即为无效数据

    46.3.4 捕获模式及捕获率

    DCMI还支持两种数据捕获模式,分别为快照模式和连续采集模式。快照模式时只采集一帧的图像数据,连续采集模式会一直采集多个帧的数据,并且可以通过配置捕获率来控制采集多少数据,如可配置为采集所有数据或隔1帧采集一次数据或隔3帧采集一次数据。

    46.4 DCMI初始化结构体

    与其它外设一样,STM32DCMI外设也可以使用库函数来控制,其中最主要的配置项都封装到了DCMI_InitTypeDef结构体,来这些内容都定义在库文件"stm32f4xx_dcmi.h"及"stm32f4xx_ dcmi.c"中,编程时我们可以结合这两个文件内的注释使用或参考库帮助文档。

    DCMI_InitTypeDef初始化结构体的内容见代码清单 461

    代码清单 461 DCMI初始化结构体

    1 /**

    2 * @brief DCMI 初始化结构体

    3 */

    4 typedef struct

    5 {

    6 uint16_t DCMI_CaptureMode; /*选择连续模式或拍照模式 */

    7 uint16_t DCMI_SynchroMode; /*选择硬件同步模式还是内嵌码模式 */

    8 uint16_t DCMI_PCKPolarity; /*设置像素时钟的有效边沿*/

    9 uint16_t DCMI_VSPolarity; /*设置VSYNC的有效电平*/

    10 uint16_t DCMI_HSPolarity; /*设置HSYNC的有效边沿*/

    11 uint16_t DCMI_CaptureRate; /*设置图像的采集间隔 */

    12 uint16_t DCMI_ExtendedDataMode; /*置数据线的宽度 */

    13 } DCMI_InitTypeDef;

    这些结构体成员说明如下,其中括号内的文字是对应参数在STM32标准库中定义的宏:

    (8)    DCMI_CaptureMode

    本成员设置DCMI的捕获模式,可以选择为连续摄像(DCMI_CaptureMode_Continuous)或单张拍照DCMI_CaptureMode_SnapShot

    (9)    DCMI_SynchroMode

    本成员设置DCMI数据的同步模式,可以选择为硬件同步方式(DCMI_SynchroMode_Hardware)或内嵌码方式(DCMI_SynchroMode_Embedded)

    (10)    DCMI_PCKPolarity

    本成员用于配置DCMI接口像素时钟的有效边沿,即在该时钟边沿时,DCMI会对数据线上的信号进行采样,它可以被设置为上升沿有效(DCMI_PCKPolarity_Rising)或下降沿有效(DCMI_PCKPolarity_Falling)

    (11)    DCMI_VSPolarity

    本成员用于设置VSYNC的有效电平,当VSYNC信号线表示为有效电平时,表示新的一帧数据传输完成,它可以被设置为高电平有效(DCMI_VSPolarity_High)或低电平有效(DCMI_VSPolarity_Low)

    (12)    DCMI_HSPolarity

    类似地,本成员用于设置HSYNC的有效电平,当HSYNC信号线表示为有效电平时,表示新的一行数据传输完成,它可以被设置为高电平有效(DCMI_HSPolarity_High)或低电平有效(DCMI_HSPolarity_Low)

    (13)    DCMI_CaptureRate

    本成员可以用于设置DCMI捕获数据的频率,可以设置为全采集、半采集或1/4采集(DCMI_CaptureRate_All_Frame/ 1of2_Frame/ 1of4_Frame),在间隔采集的情况下,STM32DCMI外设会直接按间隔丢弃数据。

    (14)    DCMI_ExtendedDataMode

    本成员用于设置DCMI的数据线宽度,可配置为8/10/1214位数据线宽(DCMI_ExtendedDataMode_8b/10b/12b/14b)

    配置完这些结构体成员后,我们调用库函数DCMI_Init即可把这些参数写入到DCMI的控制寄存器中,实现DCMI的初始化。

    46.5 DCMI—OV5640摄像头实验

    本小节讲解如何使用DCMI接口从OV5640摄像头输出的RGB565格式的图像数据,并把这些数据实时显示到液晶屏上。

    学习本小节内容时,请打开配套的"DCMIOV5640摄像头"工程配合阅读。

    46.5.1 硬件设计

    摄像头原理图

    本实验采用的OV5640摄像头实物见图 4616,其原理图见图 4617

    4616 OV5640摄像头原理图

    4616标号处的是OV5640模组接口电路,在这部分中已对SCCB使用的信号线接了上拉电阻,外部电路可以省略上拉;标号‚处的是一个24MHz的有源晶振,它为OV5640提供系统时钟,如果不想使用外部晶振提供时钟源,可以参考图中的R6处贴上0欧电阻,XCLK引脚引出至外部,由外部控制器提供时钟;标号ƒ处的是电源转换模块,可以从5V2.8V1.5V供给模组使用;标号④处的是摄像头引脚集中引出的排针接口,使用它可以方便地与STM32实验板中的排母连接。标号⑤处的是电源指示灯。

    摄像头与实验板的连接

    通过排母,OV5640STM32引脚的连接关系见图 4617控制摄像头的部分引脚与实验板上的RGB彩灯共用,使用时会互相影响。

    4617 STM32实验板引出的DCMI接口

    以上原理图可查阅《ov5640—黑白原理图》及《秉火F429开发板黑白原理图》文档获知,若您使用的摄像头或实验板不一样,请根据实际连接的引脚修改程序。

    46.5.2 软件设计

    为了使工程更加有条理,我们把摄像头控制相关的代码独立分开存储,方便以后移植。在"LTDC—液晶显示"工程的基础上新建"bsp_ov5640.c","ov5640_AF.c","bsp_ov5640.h", "ov5640_AF.h"文件,这些文件也可根据您的喜好命名,它们不属于STM32标准库的内容,是由我们自己根据应用需要编写的。

    1.    编程要点

    (1)    初始化DCMI时钟,I2C时钟;

    (2)    使用I2C接口向OV5640写入寄存器配置;

    (3)    初始化DCMI工作模式;

    (4)    初始化DMA,用于搬运DCMI的数据到显存空间进行显示;

    (5)    编写测试程序,控制采集图像数据并显示到液晶屏。

    2.    代码分析
    摄像头硬件相关宏定义

    我们把摄像头控制硬件相关的配置都以宏的形式定义到"bsp_ov5640.h"文件中,其中包括I2CDCMI接口的,见代码清单 462

    代码清单 462 摄像头硬件配置相关的宏(省略了部分数据线)

    1

    2 /*摄像头接口 */

    3 //IIC SCCB

    4 #define CAMERA_I2C I2C1

    5 #define CAMERA_I2C_CLK RCC_APB1Periph_I2C1

    6

    7 #define CAMERA_I2C_SCL_PIN GPIO_Pin_6

    8 #define CAMERA_I2C_SCL_GPIO_PORT GPIOB

    9 #define CAMERA_I2C_SCL_GPIO_CLK RCC_AHB1Periph_GPIOB

    10 #define CAMERA_I2C_SCL_SOURCE GPIO_PinSource6

    11 #define CAMERA_I2C_SCL_AF GPIO_AF_I2C1

    12

    13 #define CAMERA_I2C_SDA_PIN GPIO_Pin_7

    14 #define CAMERA_I2C_SDA_GPIO_PORT GPIOB

    15 #define CAMERA_I2C_SDA_GPIO_CLK RCC_AHB1Periph_GPIOB

    16 #define CAMERA_I2C_SDA_SOURCE GPIO_PinSource7

    17 #define CAMERA_I2C_SDA_AF GPIO_AF_I2C1

    18

    19 //VSYNC

    20 #define DCMI_VSYNC_GPIO_PORT GPIOI

    21 #define DCMI_VSYNC_GPIO_CLK RCC_AHB1Periph_GPIOI

    22 #define DCMI_VSYNC_GPIO_PIN GPIO_Pin_5

    23 #define DCMI_VSYNC_PINSOURCE GPIO_PinSource5

    24 #define DCMI_VSYNC_AF GPIO_AF_DCMI

    25 // HSYNC

    26 #define DCMI_HSYNC_GPIO_PORT GPIOA

    27 #define DCMI_HSYNC_GPIO_CLK RCC_AHB1Periph_GPIOA

    28 #define DCMI_HSYNC_GPIO_PIN GPIO_Pin_4

    29 #define DCMI_HSYNC_PINSOURCE GPIO_PinSource4

    30 #define DCMI_HSYNC_AF GPIO_AF_DCMI

    31 //PIXCLK

    32 #define DCMI_PIXCLK_GPIO_PORT GPIOA

    33 #define DCMI_PIXCLK_GPIO_CLK RCC_AHB1Periph_GPIOA

    34 #define DCMI_PIXCLK_GPIO_PIN GPIO_Pin_6

    35 #define DCMI_PIXCLK_PINSOURCE GPIO_PinSource6

    36 #define DCMI_PIXCLK_AF GPIO_AF_DCMI

    37 //PWDN

    38 #define DCMI_PWDN_GPIO_PORT GPIOG

    39 #define DCMI_PWDN_GPIO_CLK RCC_AHB1Periph_GPIOG

    40 #define DCMI_PWDN_GPIO_PIN GPIO_Pin_3

    41

    42 //数据信号线

    43 #define DCMI_D0_GPIO_PORT GPIOH

    44 #define DCMI_D0_GPIO_CLK RCC_AHB1Periph_GPIOH

    45 #define DCMI_D0_GPIO_PIN GPIO_Pin_9

    46 #define DCMI_D0_PINSOURCE GPIO_PinSource9

    47 #define DCMI_D0_AF GPIO_AF_DCMI

    48 /*....省略部分数据线*/

    以上代码根据硬件的连接,把与DCMII2C接口与摄像头通讯使用的引脚号、引脚源以及复用功能映射都以宏封装起来。

    初始化DCMI的 GPIO及I2C

    利用上面的宏,初始化DCMIGPIO引脚及I2C,见代码清单 463

    代码清单 463 初始化DCMIGPIOI2C(省略了部分数据线)

     1 /**
    				

    2 * @brief 初始化控制摄像头使用的GPIO(I2C/DCMI)

    3 * @param None

    4 * @retval None

    5 */

    6 void OV5640_HW_Init(void)

    7 {

    8 GPIO_InitTypeDef GPIO_InitStructure;

    9 I2C_InitTypeDef I2C_InitStruct;

    10

    11 /***DCMI引脚配置***/

    12 /* 使能DCMI时钟 */

    13 RCC_AHB1PeriphClockCmd(DCMI_PWDN_GPIO_CLK|DCMI_RST_GPIO_CLK|DCMI_VS

    14 YNC_GPIO_CLK | DCMI_HSYNC_GPIO_CLK |

    15 DCMI_PIXCLK_GPIO_CLK|

    16 DCMI_D0_GPIO_CLK| DCMI_D1_GPIO_CLK|

    17 DCMI_D2_GPIO_CLK| DCMI_D3_GPIO_CLK|

    18 DCMI_D4_GPIO_CLK| DCMI_D5_GPIO_CLK|

    19 DCMI_D6_GPIO_CLK| DCMI_D7_GPIO_CLK, ENABLE);

    20

    21 /*控制/同步信号线*/

    22 GPIO_InitStructure.GPIO_Pin = DCMI_VSYNC_GPIO_PIN;

    23 GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;

    24 GPIO_InitStructure.GPIO_Speed = GPIO_Speed_100MHz;

    25 GPIO_InitStructure.GPIO_OType = GPIO_OType_PP;

    26 GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP ;

    27 GPIO_Init(DCMI_VSYNC_GPIO_PORT, &GPIO_InitStructure);

    28 GPIO_PinAFConfig(DCMI_VSYNC_GPIO_PORT, DCMI_VSYNC_PINSOURCE,

    29 DCMI_VSYNC_AF);

    30

    31 GPIO_InitStructure.GPIO_Pin = DCMI_HSYNC_GPIO_PIN ;

    32 GPIO_Init(DCMI_HSYNC_GPIO_PORT, &GPIO_InitStructure);

    33 GPIO_PinAFConfig(DCMI_HSYNC_GPIO_PORT, DCMI_HSYNC_PINSOURCE,

    34 DCMI_HSYNC_AF);

    35

    36 GPIO_InitStructure.GPIO_Pin = DCMI_PIXCLK_GPIO_PIN ;

    37 GPIO_Init(DCMI_PIXCLK_GPIO_PORT, &GPIO_InitStructure);

    38 GPIO_PinAFConfig(DCMI_PIXCLK_GPIO_PORT, DCMI_PIXCLK_PINSOURCE,

    39 DCMI_PIXCLK_AF);

    40

    41 /*数据信号*/

    42 GPIO_InitStructure.GPIO_Pin = DCMI_D0_GPIO_PIN ;

    43 GPIO_Init(DCMI_D0_GPIO_PORT, &GPIO_InitStructure);

    44 GPIO_PinAFConfig(DCMI_D0_GPIO_PORT, DCMI_D0_PINSOURCE, DCMI_D0_AF);

    45 /*...省略部分数据信号线*/

    46

    47 GPIO_InitStructure.GPIO_Mode = GPIO_Mode_OUT;

    48 GPIO_InitStructure.GPIO_Speed = GPIO_Speed_2MHz;

    49 GPIO_InitStructure.GPIO_OType = GPIO_OType_PP;

    50 GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP;

    51 GPIO_InitStructure.GPIO_Pin = DCMI_PWDN_GPIO_PIN ;

    52 GPIO_Init(DCMI_PWDN_GPIO_PORT, &GPIO_InitStructure);

    53 GPIO_InitStructure.GPIO_Pin = DCMI_RST_GPIO_PIN ;

    54 GPIO_Init(DCMI_RST_GPIO_PORT, &GPIO_InitStructure);

    55 /*PWDN引脚,高电平关闭电源,低电平供电*/

    56

    57 GPIO_ResetBits(DCMI_RST_GPIO_PORT,DCMI_RST_GPIO_PIN);

    58 GPIO_SetBits(DCMI_PWDN_GPIO_PORT,DCMI_PWDN_GPIO_PIN);

    59

    60 Delay(10);//延时10ms

    61

    62 GPIO_ResetBits(DCMI_PWDN_GPIO_PORT,DCMI_PWDN_GPIO_PIN);

    63

    64 Delay(10);//延时10ms

    65

    66 GPIO_SetBits(DCMI_RST_GPIO_PORT,DCMI_RST_GPIO_PIN);

    67

    68 /****** 配置I2C,使用I2C与摄像头的SCCB接口通讯*****/

    69 /* 使能I2C时钟 */

    70 RCC_APB1PeriphClockCmd(CAMERA_I2C_CLK, ENABLE);

    71 /* 使能I2C使用的GPIO时钟 */

    72 RCC_AHB1PeriphClockCmd(CAMERA_I2C_SCL_GPIO_CLK|CAMERA_I2C_SDA_GPIO_

    73 CLK, ENABLE);

    74 /* 配置引脚源 */

    75 GPIO_PinAFConfig(CAMERA_I2C_SCL_GPIO_PORT, CAMERA_I2C_SCL_SOURCE,

    76 CAMERA_I2C_SCL_AF);

    77 GPIO_PinAFConfig(CAMERA_I2C_SDA_GPIO_PORT, CAMERA_I2C_SDA_SOURCE,

    78 CAMERA_I2C_SDA_AF);

    79

    80 /* 初始化GPIO */

    81 GPIO_InitStructure.GPIO_Pin = CAMERA_I2C_SCL_PIN ;

    82 GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;

    83 GPIO_InitStructure.GPIO_Speed = GPIO_Speed_2MHz;

    84 GPIO_InitStructure.GPIO_OType = GPIO_OType_OD;

    85 GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_NOPULL;

    86 GPIO_Init(CAMERA_I2C_SCL_GPIO_PORT, &GPIO_InitStructure);

    87 GPIO_PinAFConfig(CAMERA_I2C_SCL_GPIO_PORT, CAMERA_I2C_SCL_SOURCE,

    88 CAMERA_I2C_SCL_AF);

    89

    90 GPIO_InitStructure.GPIO_Pin = CAMERA_I2C_SDA_PIN ;

    91 GPIO_Init(CAMERA_I2C_SDA_GPIO_PORT, &GPIO_InitStructure);

    92

    93 /*初始化I2C模式 */

    94 I2C_DeInit(CAMERA_I2C);

    95

    96 I2C_InitStruct.I2C_Mode = I2C_Mode_I2C;

    97 I2C_InitStruct.I2C_DutyCycle = I2C_DutyCycle_2;

    98 I2C_InitStruct.I2C_OwnAddress1 = 0xFE;

    99 I2C_InitStruct.I2C_Ack = I2C_Ack_Enable;

    100 I2C_InitStruct.I2C_AcknowledgedAddress = I2C_AcknowledgedAddress_7b

    101 it;

    102 I2C_InitStruct.I2C_ClockSpeed = 400000;

    103

    104 /* 写入配置 */

    105 I2C_Init(CAMERA_I2C, &I2C_InitStruct);

    106

    107 /* 使能I2C */

    108 I2C_Cmd(CAMERA_I2C, ENABLE);

    109

    110 Delay(50);//延时50ms

    111 }

     

    与所有使用到GPIO的外设一样,都要先把使用到的GPIO引脚模式初始化,以上代码把DCMI接口的信号线全都初始化为DCMI复用功能,这里需要特别注意的地方是OV5640的上电时序比较特殊,我们初始化PWDNRST应该特别小心,先初始化成普通的推挽输出模式,并且在初始化完毕后直接控制RST为低电平,PWDN为高电平,使摄像头处于待机模式,延时10ms后控制PWDN为低电平,再延时10ms后控制RST为高电平,OV5640模组启动。

    函数中还包含了I2C的初始化配置,使用I2COV5640SCCB接口通讯,这里的I2C模式配置与标准的I2C无异。特别注意:I2C初始化完必须延时50ms,再进行对OV5640寄存器的读写操作。

    配置DCMI的模式

    接下来需要配置DCMI的工作模式,我们通过编写OV5640_Init函数完成该功能,见代码清单 464

    代码清单 464 配置DCMI的模式(bsp_ov5640.c文件)

    1 #define FSMC_LCD_ADDRESS ((uint32_t)0xD0000000)

    2

    3 /*液晶屏的分辨率,用来计算地址偏移*/

    4 uint16_t lcd_width=800, lcd_height=480;

    5

    6 /*摄像头采集图像的大小,改变这两个值可以改变数据量,

    7 但不会加快采集速度,要加快采集速度需要改成SVGA模式*/

    8 uint16_t img_width=800, img_height=480;

    9 /**

    10 * @brief 配置 DCMI/DMA 以捕获摄像头数据

    11 * @param None

    12 * @retval None

    13 */

    14 void OV5640_Init(void)

    15 {

    16 DCMI_InitTypeDef DCMI_InitStructure;

    17 NVIC_InitTypeDef NVIC_InitStructure;

    18

    19 /*** 配置DCMI接口 ***/

    20 /* 使能DCMI时钟 */

    21 RCC_AHB2PeriphClockCmd(RCC_AHB2Periph_DCMI, ENABLE);

    22

    23 /* DCMI 配置*/

    24 DCMI_InitStructure.DCMI_CaptureMode = DCMI_CaptureMode_Continuous;

    25 DCMI_InitStructure.DCMI_SynchroMode = DCMI_SynchroMode_Hardware;

    26 DCMI_InitStructure.DCMI_PCKPolarity = DCMI_PCKPolarity_Rising;

    27 DCMI_InitStructure.DCMI_VSPolarity = DCMI_VSPolarity_High;

    28 DCMI_InitStructure.DCMI_HSPolarity = DCMI_HSPolarity_Low;

    29 DCMI_InitStructure.DCMI_CaptureRate = DCMI_CaptureRate_All_Frame;

    30 DCMI_InitStructure.DCMI_ExtendedDataMode = DCMI_ExtendedDataMode_8b;

    31 DCMI_Init(&DCMI_InitStructure);

    32

    33

    34 //dma_memory 16位数据为单位, dma_bufsize32位数据为单位(即像素个数/2)

    35 OV5640_DMA_Config(FSMC_LCD_ADDRESS,img_width*2/4);

    36

    37 /* 配置中断 */

    38 NVIC_PriorityGroupConfig(NVIC_PriorityGroup_1);

    39

    40 /* 配置中断源 */

    41 NVIC_InitStructure.NVIC_IRQChannel = DMA2_Stream1_IRQn ;//DMA数据流中断

    42 NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1;

    43 NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;

    44 NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;

    45 NVIC_Init(&NVIC_InitStructure);

    46 DMA_ITConfig(DMA2_Stream1,DMA_IT_TC,ENABLE);

    47

    48 /* 配置帧中断,接收到帧同步信号就进入中断 */

    49 NVIC_InitStructure.NVIC_IRQChannel = DCMI_IRQn ; //帧中断

    50 NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority =0;

    51 NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;

    52 NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;

    53 NVIC_Init(&NVIC_InitStructure);

    54 DCMI_ITConfig (DCMI_IT_FRAME,ENABLE);

    55 }

    该函数的执行流程如下:

    (6)    使能DCMI外设的时钟,它是挂载在AHB2总线上的;

    (7)    根据摄像头的时序和硬件连接的要求,配置DCMI工作模式为:使用硬件同步,连续采集所有帧数据,采集时使用8根数据线,PIXCLK被设置为上升沿有效,VSYNC被设置成高电平有效, HSYNC被设置成低电平有效;

    (8)    调用OV5640_DMA_Config函数开始DMA数据传输,每传输完一行数据需要调用一次,它包含本次传输的目的首地址及传输的数据量,后面我们再详细解释

    (9)    配置DMA中断,DMA每次传输完毕会引起中断,以便我们在中断服务函数配置DMA传输下一行数据;

    (10)    配置DCMI的帧传输中断,为了防止有时DMA出现传输错误或传输速度跟不上导致数据错位、偏移等问题,每次DCMI接收到摄像头的一帧数据,得到新的帧同步信号后(VSYNC),就进入中断,复位DMA,使它重新开始一帧的数据传输。

    配置DMA数据传输

    上面的DCMI配置函数中调用了OV5640_DMA_Config函数开始了DMA传输,该函数的定义见代码清单 465

    代码清单 465 配置DMA数据传输(bsp_ov5640.c文件)

    1 /**

    2 * @brief 配置 DCMI/DMA 以捕获摄像头数据

    3     * @param DMA_Memory0BaseAddr:本次传输的目的首地址

    4 * @param DMA_BufferSize:本次传输的数据量(单位为字,

    5 4字节)

    6 */

    7 void OV5640_DMA_Config(uint32_t DMA_Memory0BaseAddr,uint16_t

    8 DMA_BufferSize)

    9 {

    10

    11 DMA_InitTypeDef DMA_InitStructure;

    12

    13 /* 配置DMADCMI中获取数据*/

    14 /* 使能DMA*/

    15 RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_DMA2, ENABLE);

    16 DMA_Cmd(DMA2_Stream1,DISABLE);

    17 while (DMA_GetCmdStatus(DMA2_Stream1) != DISABLE) {}

    18

    19 DMA_InitStructure.DMA_Channel = DMA_Channel_1;

    20 DMA_InitStructure.DMA_PeripheralBaseAddr = DCMI_DR_ADDRESS;    

    21 //DCMI数据寄存器地

    22

    23 DMA_InitStructure.DMA_Memory0BaseAddr = DMA_Memory0BaseAddr;

    24 //DMA传输的目的地址(

    25 传入的参数)

    26 DMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralToMemory;

    27 DMA_InitStructure.DMA_BufferSize =DMA_BufferSize;                         

    28 //传输的数据大小(

    29 传入的参数)

    30 DMA_InitStructure.DMA_PeripheralInc = DMA_PeripheralInc_Disable;

    31 DMA_InitStructure.DMA_MemoryInc = DMA_MemoryInc_Enable;            

    32 //寄存器地址自增

    33 DMA_InitStructure.DMA_PeripheralDataSize = DMA_PeripheralDataSize_W

    34 ord;

    35 DMA_InitStructure.DMA_MemoryDataSize = DMA_MemoryDataSize_HalfWord;

    36 DMA_InitStructure.DMA_Mode = DMA_Mode_Circular;                                

    37 //循环模式

    38 DMA_InitStructure.DMA_Priority = DMA_Priority_High;

    39 DMA_InitStructure.DMA_FIFOMode = DMA_FIFOMode_Enable;

    40 DMA_InitStructure.DMA_FIFOThreshold = DMA_FIFOThreshold_Full;

    41 DMA_InitStructure.DMA_MemoryBurst = DMA_MemoryBurst_INC8;

    42 DMA_InitStructure.DMA_PeripheralBurst = DMA_PeripheralBurst_Single;

    43

    44 /*DMA中断配置 */

    45 DMA_Init(DMA2_Stream1, &DMA_InitStructure);

    46

    47 DMA_Cmd(DMA2_Stream1,ENABLE);

    48 while (DMA_GetCmdStatus(DMA2_Stream1) != ENABLE) {}

    49 }

    该函数跟普通的DMA配置无异,它把DCMI接收到的数据从它的数据寄存器搬运到SDRAM显存中,从而直接使用液晶屏显示摄像头采集得的图像。它包含2个输入参数DMA_Memory0BaseAddrDMA_BufferSize,其中DMA_Memory0BaseAddr用于设置本次DMA传输的目的首地址,该参数会被赋值到结构体成员DMA_InitStructure.DMA_Memory0BaseAddr中。DMA_BufferSize则用于指示本次DMA传输的数据量,它会被赋值到结构体成员DMA_InitStructure.DMA_BufferSize中,要注意它的单位是一个字,即4字节,如我们要传输60字节的数据时,它应配置为15。在前面的OV5640_Init函数中,对这个函数有如下调用:

    1

    2 #define FSMC_LCD_ADDRESS ((uint32_t)0xD0000000)

    3

    4 /*液晶屏的分辨率,用来计算地址偏移*/

    5 uint16_t lcd_width=800, lcd_height=480;

    6

    7 /*摄像头采集图像的大小,改变这两个值可以改变数据量,

    8 但不会加快采集速度,要加快采集速度需要改成SVGA*/

    9 uint16_t img_width=800, img_height=480;

    10

    11

    12

    13 //dma_memory 16位数据为单位, dma_bufsize32位数据为单位(即像素个数/2)

    14 OV5640_DMA_Config(FSMC_LCD_ADDRESS,img_width*2/4);

    15

    其中的lcd_widthlcd_height是液晶屏的分辨率,img_widthimg_heigh表示摄像头输出的图像的分辨率,FSMC_LCD_ADDRESS是液晶层的首个显存地址。另外,本工程中显示摄像头数据的这个液晶层采用RGB565的像素格式,每个像素点占据2个字节。

    所以在上面的函数调用中,第一个输入参数:

    FSMC_LCD_ADDRESS

    它表示的是液晶屏第一行的第一个像素的地址。

    而第二个输入参数:

    img_width*2/4

    它表示表示摄像头一行图像的数据量,单位为字,即用一行图像数据的像素个数除以2即可。注意这里使用的变量是"img_width"而不是的"lcd_width"。

    由于这里配置的是第一次DMA传输,它把DCMI接收到的第一行摄像头数据传输至液晶屏的最后一行,见图 4618,再配合在后面分析的中断函数里的多次DMA配置,摄像头输出的数据会一行一行地"由下至上"显示到液晶屏上。

    4618 DMA传输过程

    DMA传输完成中断及帧中断

    OV5640_Init函数初始化了DCMI,使能了帧中断、DMA传输完成中断,并使能了第一次DMA传输,当这一行数据传输完成时,会进入DMA中断服务函数,见代码清单 466中的DMA2_Stream1_IRQHandler

    代码清单 466 DMA传输完成中断与帧中断(stm32f4xx_it.c文件)

    1 extern uint16_t lcd_width, lcd_height;

    2 extern uint16_t img_width, img_height;

    3

    4 //记录传输了多少行

    5 static uint16_t line_num =0;

    6 //DMA传输完成中断服务函数

    7 void DMA2_Stream1_IRQHandler(void)

    8 {

    9 if ( DMA_GetITStatus(DMA2_Stream1,DMA_IT_TCIF1) == SET )

    10 {

    11 /*行计数*/

    12 line_num++;

    13 if (line_num==img_height)

    14 {

    15 /*传输完一帧,计数复位*/

    16 line_num=0;

    17 }

    18 /*DMA 一行一行传输*/

    19 OV5640_DMA_Config(FSMC_LCD_ADDRESS+(lcd_width*2*line_num)),img_width*2/4);

    20 DMA_ClearITPendingBit(DMA2_Stream1,DMA_IT_TCIF1);

    21 }

    22 }

    23

    24

    25 //帧中断服务函数,使用帧中断重置line_num,可防止有时掉数据的时候DMA传送行数出现偏移

    26 void DCMI_IRQHandler(void)

    27 {

    28 if ( DCMI_GetITStatus (DCMI_IT_FRAME) == SET )

    29 {

    30 /*传输完一帧,计数复位*/

    31 line_num=0;

    32 DCMI_ClearITPendingBit(DCMI_IT_FRAME);

    33 }

    34 }

    35

    DMA中断服务函数中主要是使用了一个静态变量line_num来记录已传输了多少行数据,每进一次DMA中断时自加1,由于进入一次中断就代表传输完一行数据,所以line_num的值等于lcd_height(摄像头输出的数据行数),表示传输完一帧图像,line_num复位为0,开始另一帧数据的传输。line_num计数完毕后利用前面定义的OV5640_DMA_Config函数配置新的一行DMA数据传输,它利用line_num变量计算显存地址的行偏移,控制DCMI数据被传送到正确的位置,每次传输的都是一行像素的数据量。

    DCMI接口检测到摄像头传输的帧同步信号时,会进入DCMI_IRQHandler中断服务函数,在这个函数中不管line_num原来的值是什么,它都把line_num直接复位为0,这样下次再进入DMA中断服务函数的时候,它会开始新一帧数据的传输。这样可以利用DCMI的硬件同步信号,而不只是依靠DMA自己的传输计数,这样可以避免有时STM32内部DMA传输受到阻塞而跟不上外部摄像头信号导致的数据错误。

    使能DCMI采集

    以上是我们使用DCMI的传输配置,但它还没有使能DCMI采集,在实际使用中还需要调用下面两个库函数开始采集数据。

    1 //使能DCMI采集数据

    2 DCMI_Cmd(ENABLE);

    3 DCMI_CaptureCmd(ENABLE);

    读取OV5640芯片ID

    配置完了STM32DCMI,还需要控制摄像头,它有很多寄存器用于配置工作模式。利用STM32I2C接口,可向OV5640的寄存器写入控制参数,我们先写个读取芯片ID的函数测试一下,见代码清单 467

    代码清单 467 读取OV5640的芯片ID(bsp_ov5640.c文件)

    1 //存储摄像头ID的结构体

    2 typedef struct {

    3 uint8_t PIDH;

    4 uint8_t PIDL;

    5 } OV5640_IDTypeDef;

    6 #define OV5640_SENSOR_PIDH 0x300A

    7 #define OV5640_SENSOR_PIDL 0x300B

    8 /**

    9 * @brief 读取摄像头的ID.

    10 * @param OV5640ID: 存储ID的结构体

    11 * @retval None

    12 */

    13 void OV5640_ReadID(OV5640_IDTypeDef *OV5640ID)

    14 {

    15

    16 /*读取寄存芯片ID*/

    17 OV5640ID->PIDH = OV5640_ReadReg(OV5640_SENSOR_PIDH);

    18 OV5640ID->PIDL = OV5640_ReadReg(OV5640_SENSOR_PIDL);

    19 }

    20 /**

    21 * @brief OV5640寄存器中读取一个字节的数据

    22 * @param Addr: 寄存器地址

    23 * @retval 返回读取得的数据

    24 */

    25 uint8_t OV5640_ReadReg(uint16_t Addr)

    26 {

    27 uint32_t timeout = DCMI_TIMEOUT_MAX;

    28 uint8_t Data = 0;

    29

    30 /* Generate the Start Condition */

    31 I2C_GenerateSTART(CAMERA_I2C, ENABLE);

    32

    33 /* Test on CAMERA_I2C EV5 and clear it */

    34 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    35 while (!I2C_CheckEvent(CAMERA_I2C, I2C_EVENT_MASTER_MODE_SELECT)) {

    36 /* If the timeout delay is exeeded, exit with error code */

    37 if ((timeout--) == 0) return 0xFF;

    38 }

    39

    40 /* Send DCMI selcted device slave Address for write */

    41 I2C_Send7bitAddress(CAMERA_I2C, OV5640_DEVICE_ADDRESS,

    42 I2C_Direction_Transmitter);

    43

    44 /* Test on I2C1 EV6 and clear it */

    45 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    46 while (!I2C_CheckEvent(CAMERA_I2C,

    47 I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED)) {

    48 /* If the timeout delay is exeeded, exit with error code */

    49 if ((timeout--) == 0) return 0xFF;

    50 }

    51

    52 /* Send I2C1 location address MSB */

    53 I2C_SendData( CAMERA_I2C, (uint8_t)((Addr>>8) & 0xFF) );

    54

    55 /* Test on I2C1 EV8 and clear it */

    56 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    57 while (!I2C_CheckEvent(CAMERA_I2C,

    58 I2C_EVENT_MASTER_BYTE_TRANSMITTED)) {

    59 /* If the timeout delay is exeeded, exit with error code */

    60 if ((timeout--) == 0) return 0xFF;

    61 }

    62

    63 /* Clear AF flag if arised */

    64 CAMERA_I2C->SR1 |= (uint16_t)0x0400;

    65

    66 //--------------------------------------------------------

    67 /* Send I2C1 location address LSB */

    68 I2C_SendData( CAMERA_I2C, (uint8_t)(Addr & 0xFF) );

    69 /* Test on I2C1 EV8 and clear it */

    70 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    71 while (!I2C_CheckEvent(CAMERA_I2C,

    72 I2C_EVENT_MASTER_BYTE_TRANSMITTED)) {

    73 /* If the timeout delay is exeeded, exit with error code */

    74 if ((timeout--) == 0) return 0xFF;

    75 }

    76

    77 /* Clear AF flag if arised */

    78 CAMERA_I2C->SR1 |= (uint16_t)0x0400;

    79 //--------------------------------------------------------

    80

    81 /* Generate the Start Condition */

    82 I2C_GenerateSTART(CAMERA_I2C, ENABLE);

    83

    84 /* Test on I2C1 EV6 and clear it */

    85 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    86 while (!I2C_CheckEvent(CAMERA_I2C, I2C_EVENT_MASTER_MODE_SELECT)) {

    87 /* If the timeout delay is exeeded, exit with error code */

    88 if ((timeout--) == 0) return 0xFF;

    89 }

    90

    91 /* Send DCMI selcted device slave Address for write */

    92 I2C_Send7bitAddress(CAMERA_I2C, OV5640_DEVICE_ADDRESS,

    93 I2C_Direction_Receiver);

    94

    95 /* Test on I2C1 EV6 and clear it */

    96 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    97 while (!I2C_CheckEvent(CAMERA_I2C,

    98 I2C_EVENT_MASTER_RECEIVER_MODE_SELECTED)) {

    99 /* If the timeout delay is exeeded, exit with error code */

    100 if ((timeout--) == 0) return 0xFF;

    101 }

    102

    103 /* Prepare an NACK for the next data received */

    104 I2C_AcknowledgeConfig(CAMERA_I2C, DISABLE);

    105

    106 /* Test on I2C1 EV7 and clear it */

    107 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    108 while (!I2C_CheckEvent(CAMERA_I2C, I2C_EVENT_MASTER_BYTE_RECEIVED))

    109 {

    110 /* If the timeout delay is exeeded, exit with error code */

    111 if ((timeout--) == 0) return 0xFF;

    112 }

    113

    114 /* Prepare Stop after receiving data */

    115 I2C_GenerateSTOP(CAMERA_I2C, ENABLE);

    116

    117 /* Receive the Data */

    118 Data = I2C_ReceiveData(CAMERA_I2C);

    119

    120 /* return the read data */

    121 return Data;

    122 }

    OV5640PIDHPIDL寄存器存储了产品IDPIDH的默认值为0x56PIDL的默认值为0x40。在代码中我们定义了一个结构体OV5640_IDTypeDef专门存储这些读取得的ID信息。

    OV5640_ReadID函数中使用的OV5640_ReadReg函数是使用STM32I2C外设向某寄存器读写单个字节数据的底层函数,它与我们前面章节中用到的I2C函数差异是OV5640的寄存器地址是16位的。程序中是先发高8位地址接着发低8位地址,再读取寄存器的值。

    向OV5640写入寄存器配置

    检测到OV5640的存在后,向它写入配置参数,见代码清单 468

    代码清单 468OV5640写入寄存器配置

    1 /**

    2 * @brief Configures the OV5640 camera in BMP mode.

    3 * @param BMP ImageSize: BMP image size

    4 * @retval None

    5 */

    6 void OV5640_RGB565Config(void)

    7 {

    8 uint32_t i;

    9

    10 /*摄像头复位*/

    11 OV5640_Reset();

    12 /* 写入寄存器配置 */

    13 /* Initialize OV5640 Set to output RGB565 */

    14 for (i=0; i<(sizeof(RGB565_Init)/4); i++) {

    15 OV5640_WriteReg(RGB565_Init[i][0], RGB565_Init[i][1]);

    16 }

    17

    18 Delay(500);

    19

    20 if (img_width == 320)

    21

    22 ImageFormat=BMP_320x240;

    23

    24 else if (img_width == 640)

    25

    26 ImageFormat=BMP_640x480;

    27

    28 else if (img_width == 800)

    29

    30 ImageFormat=BMP_800x480;

    31

    32 switch (ImageFormat) {

    33 case BMP_320x240: {

    34 for (i=0; i<(sizeof(RGB565_QVGA)/4); i++) {

    35 OV5640_WriteReg(RGB565_QVGA[i][0], RGB565_QVGA[i][1]);

    36 }

    37 break;

    38 }

    39 case BMP_640x480: {

    40 for (i=0; i<(sizeof(RGB565_VGA)/4); i++) {

    41 OV5640_WriteReg(RGB565_VGA[i][0], RGB565_VGA[i][1]);

    42 }

    43 break;

    44 }

    45 case BMP_800x480: {

    46 for (i=0; i<(sizeof(RGB565_WVGA)/4); i++) {

    47 OV5640_WriteReg(RGB565_WVGA[i][0], RGB565_WVGA[i][1]);

    48 }

    49 break;

    50 }

    51 default: {

    52 for (i=0; i<(sizeof(RGB565_WVGA)/4); i++) {

    53 OV5640_WriteReg(RGB565_WVGA[i][0], RGB565_WVGA[i][1]);

    54 }

    55 break;

    56 }

    57 }

    58 }

    59 /**

    60 * @brief 写一字节数据到OV5640寄存器

    61 * @param Addr: OV5640 的寄存器地址

    62 * @param Data: 要写入的数据

    63 * @retval 返回0表示写入正常,0xFF表示错误

    64 */

    65 uint8_t OV5640_WriteReg(uint16_t Addr, uint8_t Data)

    66 {

    67 uint32_t timeout = DCMI_TIMEOUT_MAX;

    68

    69 /* Generate the Start Condition */

    70 I2C_GenerateSTART(CAMERA_I2C, ENABLE);

    71

    72 /* Test on CAMERA_I2C EV5 and clear it */

    73 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    74 while (!I2C_CheckEvent(CAMERA_I2C, I2C_EVENT_MASTER_MODE_SELECT)) {

    75 /* If the timeout delay is exeeded, exit with error code */

    76 if ((timeout--) == 0) return 0xFF;

    77 }

    78

    79 /* Send DCMI selcted device slave Address for write */

    80 I2C_Send7bitAddress(CAMERA_I2C, OV5640_DEVICE_ADDRESS,

    81 I2C_Direction_Transmitter);

    82

    83 /* Test on CAMERA_I2C EV6 and clear it */

    84 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    85 while (!I2C_CheckEvent(CAMERA_I2C,

    86 I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED)) {

    87 /* If the timeout delay is exeeded, exit with error code */

    88 if ((timeout--) == 0) return 0xFF;

    89 }

    90

    91 /* Send CAMERA_I2C location address MSB */

    92 I2C_SendData(CAMERA_I2C, (uint8_t)( (Addr >> 8) & 0xFF) );

    93

    94 /* Test on CAMERA_I2C EV8 and clear it */

    95 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    96 while (!I2C_CheckEvent(CAMERA_I2C,

    97 I2C_EVENT_MASTER_BYTE_TRANSMITTED)) {

    98 /* If the timeout delay is exeeded, exit with error code */

    99 if ((timeout--) == 0) return 0xFF;

    100 }

    101 //--------------------------------------------------------

    102 /* Send I2C1 location address LSB */

    103 I2C_SendData( CAMERA_I2C, (uint8_t)(Addr & 0xFF) );

    104 /* Test on I2C1 EV8 and clear it */

    105 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    106 while (!I2C_CheckEvent(CAMERA_I2C,

    107 I2C_EVENT_MASTER_BYTE_TRANSMITTED)) {

    108 /* If the timeout delay is exeeded, exit with error code */

    109 if ((timeout--) == 0) return 0xFF;

    110 }

    111

    112

    113 //--------------------------------------------------------

    114

    115 /* Send Data */

    116 I2C_SendData(CAMERA_I2C, Data);

    117

    118 /* Test on CAMERA_I2C EV8 and clear it */

    119 timeout = DCMI_TIMEOUT_MAX; /* Initialize timeout value */

    120 while (!I2C_CheckEvent(CAMERA_I2C,

    121 I2C_EVENT_MASTER_BYTE_TRANSMITTED)) {

    122 /* If the timeout delay is exeeded, exit with error code */

    123 if ((timeout--) == 0) return 0xFF;

    124 }

    125

    126 /* Send I2C1 STOP Condition */

    127 I2C_GenerateSTOP(CAMERA_I2C, ENABLE);

    128

    129 /* If operation is OK, return 0 */

    130 return 0;

    131 }

    这个OV5640_RGB565Config函数直接把一个初始化的二维数组RGB565_Init和一个分辨率设置的二维数组RGB565_WVGA(分辨率决定)使用I2C传输到OV5640中,二维数组的第一维存储的是寄存器地址,第二维存储的是对应寄存器要写入的控制参数。OV5640_WriteReg 函数中,因为OV5640的寄存器地址为16位,所以写寄存器的时候会先写入高8位的地址接着写入低8位的地址,然后再写入寄存器的值,这个是有别于普通的I2C设备的写入方式,需要特别注意。

    如果您对这些寄存器配置感兴趣,可以一个个对着OV5640的寄存器说明来阅读,这些配置主要是把OV5640配置成了WVGA时序模式,并使用8根数据线输出格式为RGB565的图像数据。我们参考《OV5640_自动对焦照相模组应用指南(DVP_接口)__R2.13C.pdf》文档中第204.1.3节的800x480预览的寄存器参数进行配置。使摄像头输出为WVGA模式。

    初始化OV5640自动对焦功能

    写入OV5640的配置参数后,需要向它写入自动对焦固件,初始化自动对焦功能,才能使用自动对焦功能,见代码清单 469

    代码清单 469 初始化OV5640自动对焦功能

    1 void OV5640_AUTO_FOCUS(void)

    2 {

    3 OV5640_FOCUS_AD5820_Init();

    4 OV5640_FOCUS_AD5820_Constant_Focus();

    5 }

    6 static void OV5640_FOCUS_AD5820_Init(void)

    7 {

    8 u8 state=0x8F;

    9 u32 iteration = 100;

    10 u16 totalCnt = 0;

    11

    12 CAMERA_DEBUG("OV5640_FOCUS_AD5820_Init ");

    13

    14 OV5640_WriteReg(0x3000, 0x20);

    15 totalCnt = sizeof(OV5640_AF_FW);

    16 CAMERA_DEBUG("Total Count = %d ", totalCnt);

    17

    18 // 写入自动对焦固件 Brust mode

    19 OV5640_WriteFW(OV5640_AF_FW,totalCnt);

    20

    21 OV5640_WriteReg(0x3022, 0x00);

    22 OV5640_WriteReg(0x3023, 0x00);

    23 OV5640_WriteReg(0x3024, 0x00);

    24 OV5640_WriteReg(0x3025, 0x00);

    25 OV5640_WriteReg(0x3026, 0x00);

    26 OV5640_WriteReg(0x3027, 0x00);

    27 OV5640_WriteReg(0x3028, 0x00);

    28 OV5640_WriteReg(0x3029, 0xFF);

    29 OV5640_WriteReg(0x3000, 0x00);

    30 OV5640_WriteReg(0x3004, 0xFF);

    31 OV5640_WriteReg(0x0000, 0x00);

    32 OV5640_WriteReg(0x0000, 0x00);

    33 OV5640_WriteReg(0x0000, 0x00);

    34 OV5640_WriteReg(0x0000, 0x00);

    35

    36 do {

    37 state = (u8)OV5640_ReadReg(0x3029);

    38 CAMERA_DEBUG("when init af, state=0x%x ",state);

    39

    40 Delay(10);

    41 if (iteration-- == 0) {

    42 CAMERA_DEBUG("[OV5640]STA_FOCUS state check ERROR!!,

    43 state=0x%x ",state);

    44 break;

    45 }

    46 } while (state!=0x70);

    47

    48 OV5640_FOCUS_AD5820_Check_MCU();

    49 return;

    50 } /* OV5640_FOCUS_AD5820_Init */

    51

    52 //set constant focus

    53 void OV5640_FOCUS_AD5820_Constant_Focus(void)

    54 {

    55 u8 state = 0x8F;

    56 u32 iteration = 300;

    57 //send constant focus mode command to firmware

    58 OV5640_WriteReg(0x3023,0x01);

    59 OV5640_WriteReg(0x3022,0x04);

    60

    61 iteration = 5000;

    62 do {

    63 state = (u8)OV5640_ReadReg(0x3023);

    64 if (iteration-- == 0) {

    65 CAMERA_DEBUG("[OV5640]AD5820_Single_Focus time out !!

    66 %x ",state);

    67 return ;

    68 }

    69 Delay(10);

    70 } while (state!=0x00); //0x0 : focused 0x01: is focusing

    71 return;

    72 }

    OV5640_AUTO_FOCUS函数调用了OV5640_FOCUS_AD5820_Init函数和OV5640_FOCUS_

    AD5820_Constant_Focus函数,我们先来介绍OV5640_FOCUS_AD5820_Init函数,首先复位OV5640内部的MCU,然后通过I2C的突发模式写入自动对焦固件,突发模式就是只需要写入首地址,接着就一直写数据,这个过程地址会自增,直接写完数据位置,对于连续地址写入相当方便。写入固件之后OV5640内部MCU开始初始化,最后检查初始化完成的状态是否为0x70,如果是就代表固件已经写入成功,并初始化成功。接着,我们需要OV5640_FOCUS_AD5820_Constant_Focus函数来调用自动对焦固件中的持续对焦指令,完成以上步骤后,摄像头就已经初始化完毕。

    main函数

    最后我们来编写main函数,利用前面讲解的函数,控制采集图像,见代码清单 4610

    代码清单 4610 main函数

    1 /**

    2 * @brief 主函数

    3 * @param

    4 * @retval

    5 */

    6 int main(void)

    7 {

    8

    9 /*摄像头与RGB LED灯共用引脚,不要同时使用LED和摄像头*/

    10 Debug_USART_Config();

    11

    12 /*初始化液晶屏*/

    13 LCD_Init();

    14 LCD_LayerInit();

    15 LTDC_Cmd(ENABLE);

    16

    17 /*把背景层刷黑色*/

    18 LCD_SetLayer(LCD_BACKGROUND_LAYER);

    19 LCD_SetTransparency(0xFF);

    20 LCD_Clear(LCD_COLOR_BLACK);

    21

    22 /*初始化后默认使用前景层*/

    23 LCD_SetLayer(LCD_FOREGROUND_LAYER);

    24 /*默认设置不透明,该函数参数为不透明度,范围 0-0xff

    25 0为全透明,0xff为不透明*/

    26 LCD_SetTransparency(0xFF);

    27 LCD_Clear(TRANSPARENCY);

    28

    29 LCD_SetColors(LCD_COLOR_RED,TRANSPARENCY);

    30

    31 LCD_ClearLine(LINE(18));

    32 LCD_DisplayStringLine_EN_CH(LINE(18),(uint8_t* )" 模式:UXGA 800x480");

    33

    34 CAMERA_DEBUG("STM32F429 DCMI 驱动OV5640例程");

    35

    36 /* 初始化摄像头GPIOIIC */

    37 OV5640_HW_Init();

    38

    39 /* 读取摄像头芯片ID,确定摄像头正常连接 */

    40 OV5640_ReadID(&OV5640_Camera_ID);

    41

    42 if (OV5640_Camera_ID.PIDH == 0x56)

    43 {

    44 CAMERA_DEBUG("%x %x",OV5640_Camera_ID.PIDH,OV5640_Camera_ID.PIDL);

    45

    46 }

    47 else

    48 {

    49 LCD_SetTextColor(LCD_COLOR_RED);

    50 LCD_DisplayStringLine_EN_CH(LINE(0),(uint8_t*) "没有检测到OV5640,请重新检查连接。");

    51 CAMERA_DEBUG("没有检测到OV5640摄像头,请重新检查连接。");

    52 while (1);

    53 }

    54

    55 OV5640_Init();

    56 OV5640_RGB565Config();

    57     OV5640_AUTO_FOCUS();

    58 //使能DCMI采集数据

    59 DCMI_Cmd(ENABLE);

    60 DCMI_CaptureCmd(ENABLE);

    61

    62 /*DMA直接传输摄像头数据到LCD屏幕显示*/

    63 while (1)

    64 {

    65 }

    66 }

    67

    main函数中,首先初始化了液晶屏,注意它是把摄像头使用的液晶层初始化成RGB565格式了,可直接在工程的液晶底层驱动解这方面的内容。

    摄像头控制部分,首先调用了OV5640_HW_Init函数初始化DCMII2C,然后调用OV5640_ReadID函数检测摄像头与实验板是否正常连接,若连接正常则调用OV5640_Init函数初始化DCMI的工作模式及DMA,再调用OV5640_RGB565Config函数向OV5640写入寄存器配置,再调用OV5640_AUTO_FOCUS函数初始化OV5640自动对焦功能,最后,一定要记住调用库函数DCMI_CmdDCMI_CaptureCmd函数使能DCMI开始捕获数据,这样才能正常开始工作。

    3.    下载验证

    把OV5640接到实验板的摄像头接口中,用USB线连接开发板,编译程序下载到实验板,并上电复位,液晶屏会显示摄像头采集得的图像,通过旋转镜头可以调焦。

    46.6 每课一问

    1.    DMA转运DCMI数据到SDRAM显存中时,不考虑图像颠倒的问题,为什么不直接一次传输一整帧图像而是一行一行地传输?

    答:因为一整帧图像的数据超过了DMA单次传输的最大数据量,所以就拆分成一行行传输了。

    2.    运输DCMI的数据时是否可以使用其它的DMA通道?如果可以,尝试修改程序使用该通道进行传输。

    3.    尝试修改例程中的img_width及img_height变量,观察实验现象。

  • 相关阅读:
    Window7中Eclipse运行MapReduce程序报错的问题
    Hadoop以及其外围生态系统的安装参考
    《node.js开发指南》第五章与新版Node变化太大的一些问题
    打造开发React Native的Sublime
    幸福
    近日阅读记录
    react中属性默认值是true?
    git撤销操作总结
    React Native中的DeviceEventEmitter.addListener与DeviceEventEmitter.emit
    React父子组件的一个混淆点
  • 原文地址:https://www.cnblogs.com/firege/p/5806121.html
Copyright © 2020-2023  润新知