• error : uvm_component_utils is undefined


    the simple reason is the uvm_macros.svh not included in the top file

    and note: there is no need to add +incdir+ to look for the svh

    because the questa will automatically fix the path when import uvm_pkg

    ** Error: Environment.sv(16): (qverilog-2163) Macro `uvm_component_utils is undefined.

    ** Error: Environment.sv(16): near "(": syntax error, unexpected '(', expecting function or task
    ** Error: Environment.sv(19): near "new": syntax error, unexpected new, expecting TYPE_IDENTIFIER
    ** Error: Environment.sv(24): near "build": syntax error, unexpected IDENTIFIER, expecting TYPE_IDENTIFIER
    ** Error: Environment.sv(30): near "endfunction": syntax error, unexpected endfunction
    ** Error: Environment.sv(33): near "connect": syntax error, unexpected IDENTIFIER, expecting TYPE_IDENTIFIER
    ** Error: Environment.sv(37): near "endfunction": syntax error, unexpected endfunction
    ** Error: test.sv(12): (qverilog-2163) Macro `uvm_component_utils is undefined.
    ** Error: test.sv(19): (qverilog-2730) Undefined variable: 't_env'.
    ** Error: test.sv(22): near "task": syntax error, unexpected task, expecting IDENTIFIER or TYPE_IDENTIFIER
    ** Error: test.sv(25): near "endtask": syntax error, unexpected endtask
    ** Error: top.sv(33): (qverilog-2730) Undefined variable: 'Clock'.
  • 相关阅读:
    JS 逻辑运算符&&与||的运算
    Jquery on("click") 方法绑定事件后执行多次解决办法
    java的web开发使用struts2/springMVC和spring框架理解
    HTTPClient
    eclipse中配置tomcat内存大小
    杀掉window占用端口
    Unirest
    乐观锁
    自定义标签
    xss和csrf攻击
  • 原文地址:https://www.cnblogs.com/testset/p/3426975.html
Copyright © 2020-2023  润新知