• VHDL设计时参数定义的方法 例子


    -- SPtb

    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    USE IEEE.STD_LOGIC_ARITH.ALL;
    USE IEEE.STD_LOGIC_UNSIGNED.ALL;
    use std.textio.all;
    use ieee.std_logic_textio.all;
     
    -- Uncomment the following library declaration if using
    -- arithmetic functions with Signed or Unsigned values
    --USE ieee.numeric_std.ALL;
     
    ENTITY ROMtb IS
        -- Component Declaration for the Unit Under Test (UUT)
             --GENERIC ( INIT_0 : bit_vector(15 downto 0) := X"0000" );
             PORT (
          DO : out std_logic;
         I0 : in std_logic;
             I1 : in std_logic;
         I2 : in std_logic;
         I3 : in std_logic
             );
    END ROMtb;
     
    ARCHITECTURE behavior OF ROMtb IS
        COMPONENT ROM16
             GENERIC ( INIT_0 : bit_vector(15 downto 0) := X"0000" );
             PORT (
          DO : out std_logic;
         I0 : in std_logic;
             I1 : in std_logic;
         I2 : in std_logic;
         I3 : in std_logic
             );
        END COMPONENT;

    BEGIN

        uut: ROM16 GENERIC MAP (INIT_0 => X"0000" )
                     PORT MAP (
                      DO => DO,
                      I0 => I0,
                      I1 => I1,
                      I2 => I2,
                      I3 => I3

            );

       

    END;

  • 相关阅读:
    fibnacci数列的python实现
    求最大公约数伪代码
    2020-2021-1 20201213信息安全专业导论第五周学习总结
    2020级201213《信息安全专业导论》第五周学习总结
    xor加密的python实现
    第四周学习总结
    BASE64编码
    师生关系
    2020-2021--1 20201205《信息安全专业导论》第2周学习总结
    师生关系
  • 原文地址:https://www.cnblogs.com/rednodel/p/4221382.html
Copyright © 2020-2023  润新知