• 【转载】Modelsim 与Vivado联合仿真版本对应问题


    Modelsim 与Vivado联合仿真版本对应问题

    Solution

    Vivado Design Suite 2018.3

    Mentor Graphics ModelSim SE/DE/PE (10.6c)
    Mentor Graphics Questa Advanced Simulator (10.6c)
    Cadence Incisive Enterprise Simulator (IES) (15.20.053)
    Cadence Xcelium Parallel Simulator (18.03.005)
    Synopsys VCS and VCS MX (N-2017.12-SP2)
    Aldec Active-HDL (10.5) Aldec Riviera-PRO (2018.02)

    Vivado Design Suite 2018.2

    Mentor Graphics ModelSim SE/DE/PE (10.6c)
    Mentor Graphics Questa Advanced Simulator (10.6c)
    Cadence Incisive Enterprise Simulator (IES) (15.20.042)
    Cadence Xcelium Parallel Simulator (17.10.005)
    Synopsys VCS and VCS MX (N-2017.12)
    Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

    Vivado Design Suite 2018.1

    Mentor Graphics ModelSim SE/DE/PE (10.6c)
    Mentor Graphics Questa Advanced Simulator (10.6c)
    Cadence Incisive Enterprise Simulator (IES) (15.20.042)
    Cadence Xcelium Parallel Simulator (17.10.005)
    Synopsys VCS and VCS MX (N-2017.12)
    Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

    Vivado Design Suite 2017.4

    Mentor Graphics ModelSim SE/DE/PE (10.6b)
    Mentor Graphics Questa Advanced Simulator (10.6b)
    Cadence Incisive Enterprise Simulator (IES) (15.20.028)
    Synopsys VCS and VCS MX (M-2017.03-SP1)
    Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.02)

    Vivado Design Suite 2017.3

    Mentor Graphics ModelSim SE/DE/PE (10.6b)
    Mentor Graphics Questa Advanced Simulator (10.6b)
    Cadence Incisive Enterprise Simulator (IES) (15.20.028)
    Synopsys VCS and VCS MX (M-2017.03-SP1)
    Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.02)

    Vivado Design Suite 2017.2

    Mentor Graphics ModelSim SE/DE/PE (10.5c)
    Mentor Graphics Questa Advanced Simulator (10.5c)
    Cadence Incisive Enterprise Simulator (IES) (15.20.014)
    Synopsys VCS and VCS MX (L-2016.06-SP1)
    Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

    Vivado Design Suite 2017.1

    Mentor Graphics ModelSim SE/DE/PE (10.5c)
    Mentor Graphics Questa Advanced Simulator (10.5c)
    Cadence Incisive Enterprise Simulator (IES) (15.20.014)
    Synopsys VCS and VCS MX (L-2016.06-SP1)
    Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

    Vivado Design Suite 2016.4

    Mentor Graphics ModelSim SE/DE/PE (10.5c)
    Mentor Graphics Questa Advanced Simulator (10.5c)
    Cadence Incisive Enterprise Simulator (IES) (15.20.005)
    Synopsys VCS and VCS MX (L-2016.06)
    Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

    Vivado Design Suite 2016.3

    Mentor Graphics ModelSim SE/DE/PE (10.5c)
    Mentor Graphics Questa Advanced Simulator (10.5c)
    Cadence Incisive Enterprise Simulator (IES) (15.20.005)
    Synopsys VCS and VCS MX (L-2016.06)
    Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

    Vivado Design Suite 2016.2, 2016.1

    Mentor Graphics ModelSim SE/DE/PE (10.4d)
    Mentor Graphics Questa Advanced Simulator (10.4d)
    Cadence Incisive Enterprise Simulator (IES) (15.10.013)
    Synopsys VCS and VCS MX (K-2015.09)
    Aldec Active-HDL (10.3) Aldec Riviera-PRO (2015.10)

    Vivado Design Suite 2015.4, 2015.3

    Mentor Graphics ModelSim SE/DE/PE (10.4b)
    Mentor Graphics Questa Advanced Simulator (10.4b)
    Cadence Incisive Enterprise Simulator (IES) (14.20.006)
    Synopsys VCS and VCS MX (J-2014.12-SP2)
    Aldec Active-HDL (10.2 SP2) Aldec Riviera-PRO (2015.06)

    Vivado Design Suite 2015.2, 2015.1

    Mentor Graphics ModelSim SE/DE/PE (10.3d)
    Mentor Graphics Questa Advanced Simulator (10.3d)
    Cadence Incisive Enterprise Simulator (IES) (14.10.011)
    Synopsys VCS and VCS MX (I-2014.03-SP1)
    Aldec Active-HDL (10.1 SP1) Aldec Riviera-PRO (2015.02)

    Vivado Design Suite 2014.4

    Mentor Graphics ModelSim SE/DE/PE (10.3b)
    Mentor Graphics Questa Advanced Simulator (10.3b)
    Cadence Incisive Enterprise Simulator (IES) (13.20.005)
    Synopsys VCS and VCS MX (I-2014.03)
    Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

    Vivado Design Suite 2014.3

    Mentor Graphics ModelSim SE/DE/PE (10.3b)
    Mentor Graphics Questa Advanced Simulator (10.3b)
    Cadence Incisive Enterprise Simulator (IES) (13.20.005)
    Synopsys VCS and VCS MX (I-2014.03)
    Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2014.02)

    Vivado Design Suite 2014.2, 2014.1

    Mentor Graphics ModelSim SE/DE/PE (10.2a)
    Mentor Graphics Questa Advanced Simulator (10.2a)
    Cadence Incisive Enterprise Simulator (IES) (12.2-016)
    Synopsys VCS and VCS MX (H-2013.06-SP1)
    Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

    Vivado Design Suite 2013.4

    Mentor Graphics ModelSim SE/DE/PE (10.2a)
    Mentor Graphics Questa Advanced Simulator (10.2a)
    Cadence Incisive Enterprise Simulator (IES) (12.2-016)
    Synopsys VCS and VCS MX (H-2013.06)
    Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2013.06)

    Vivado Design Suite 2013.3

    Mentor Graphics ModelSim SE/DE/PE (10.2a)
    Mentor Graphics Questa Advanced Simulator (10.2a)
    Cadence Incisive Enterprise Simulator (IES) (12.2-016)
    Synopsys VCS and VCS MX (H-2013.06-3)
    Aldec Active-HDL (9.2) Aldec Riviera-PRO (2013.02)

    Vivado Design Suite 2013.1, 2013.2

    Mentor Graphics ModelSim SE/DE/PE (10.1b)
    Mentor Graphics Questa Advanced Simulator (10.1b)
    Cadence Incisive Enterprise Simulator (IES) (12.2)
    Synopsys VCS and VCS MX (G-2012.09)
    Aldec Active-HDL (9.2) Aldec Riviera-PRO (2012.10)

    Ref:https://www.xilinx.com/support/answers/68324.html
    ————————————————
    版权声明:本文为CSDN博主「hhpingyear」的原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接及本声明。
    原文链接:https://blog.csdn.net/hhpingyear/article/details/94546814

  • 相关阅读:
    关于脚本编写的注意点
    关于情报收集以及应用
    关于情报收集以及应用
    关于linux服务器的批量维护、批量升级、
    关于文档
    cf卡中,wtmp文件较大,导致磁盘空间满了
    node.js 对接公众平台
    highcharts的.net本地导出环境安装记录
    兼容 IE,firfox 的时间日期出现 NaN
    IE11无法 登陆银行网站
  • 原文地址:https://www.cnblogs.com/moluoqishi/p/12498042.html
Copyright © 2020-2023  润新知