• QS之vsim


    vsim - The vsim command invokes the VSIM simulator

     -L <library_name> …

      (optional) Specifies the library to search for design units instantiated from Verilog and for VHDL default component binding. If multiple libraries are specified, each must be preceded by the -L option. 

    -t [<multiplier>]<time_unit>
      (optional) Specifies the simulator time resolution. <time_unit> must be one of the following: 
        fs, ps, ns, us, ms, sec
      The default is 1ns; the optional <multiplier> may be 1, 10 or 100.

      Note that there is no space between the multiplier and the unit (for example, 10fs, not 10 fs).

     -assertdebug

      keep data for debuging assertion failures.

      -debugdb=<db_pathname>

      (optional) Instructs Questa SIM to generate a database of connectivity information to be used for post-sim debug in the Dataflow and Schematic windows. The database pathname should have a .dbg extension. If a database pathname is not specified, Questa SIM creates a database file named vsim.dbg in the current directory. 

      An existing .dbg file will be reused and a note printed to the transcript when the -debugdb switch is specified and your design has not changed since the database was created.

    # compile testbench file
    
    vcom -93 -explicit -work work $srcpath_0/tb_xxx.vhd 
    
    # run simulation
    
    vsim -L xxx -t 1ps tb_xxx
  • 相关阅读:
    Canvas技术
    线段树树状数组小结
    html5 Canvas元素
    ccpc网络邀请赛部分
    2020杭电多校
    JavaFx 绘制图形和文本(笔记)
    P4094 [HEOI2016/TJOI2016]字符串(二分+多种数据结构)
    L3-021 神坛 (30分) (计算几何最小三角形面积)
    10月28日G、H、I题
    出栈序列的合法性 (25分) 之树状数组玄学做法
  • 原文地址:https://www.cnblogs.com/mengdie/p/4432588.html
Copyright © 2020-2023  润新知