• SystemVerilog中virtual关键字常见用法


    在验证工作中经常使用"virtual"关键字,下面列举该关键字应用场景。

    • 主要应用场景在virtual class,virtual interface 以及 virtual task/function。
    • OOP三大特性(封装,继承,多态)中的 多态 在SystemVerilog中一般通过 “virtual” 关键字实现。

      通过virtual声明的类,接口,任务与函数,其本身自带一些方法或者函数。后续的例化或者扩展可以对原有的内容进行增加或者修改,从而实现同一函数不同方法的多种形态。

    1. virtual interface
    • 在interface定义时,如果不使用关键字 “virtual” 那么在多次调用该接口时,在其中的一个实例中对接口中某一信号的修改会影响其他实例接口;如果使用了 “virtual” 关键字,那么每个实例是独立的。
    • 习惯上在声明interface时均添加 "virtual"关键字。
    2. virtual task/function
    • 用于OOP思想的继承使用。当定义了virtual时,在子类中调用某task/function时,会先查找在子类中是否定义了该 task/function,如果子类没有定义,则在父类中查找。未定义virtual时,只在子类中查找,没有定义就是编译器报错。
    • 如果某一class会被继承,则用户定义的task/function(除new(),randomized(),per_randomize(),pose_randomize()外),都应该加上virtual关键字,以备后续扩展。
    3. virtual class
    • 虚类一般用来定义类的格式,、类的成员、类的参数等,虚类不能被实例化,只能被扩展(重载)后实例化,用于在项目中定义一些标准的类。

    • 虚类中的方法通常使用关键字 " pure virtual " 纯虚方法。同时OOP规定,只要class中存在一个没有被实现的pure function,就不允许例化这个class。

      virtual class (抽象类):可以被扩展但是不能被直接例化,由抽象类扩展而来的类,只有在所以的虚拟方法都有实体的时候才能被例化。
      pure virtual function(纯虚方法):没有实体的方法原型,相当于一个声明,只能在抽象类中定义。

    UVM中一个显著虚类是uvm_void:里面不包含任何成员变量以及函数,是所有其他UVM类的基类。

    virtual class uvm_void;
    endclass
     
    • 1
    • 2

    PS:有关其他细节可参考UVM Class Reference Manual 1.2以及SystemVerilog的IEEE标准

  • 相关阅读:
    Redis生存时间、删除策略和排序
    Redis事务
    Redis基本类型与常用命令
    script标签块的独立性与共享性
    jQuery UI--jquery-autohide解读
    创建美化的上传文件按钮
    css3彩色进度条
    便签效果
    使用before、after伪类制作三角形
    css3实现进度条的模拟
  • 原文地址:https://www.cnblogs.com/loveic/p/13908292.html
Copyright © 2020-2023  润新知