• 用modelsim和debussy协同仿真VHDL Verilog的流程


    关于整个流程,modelsim用do文件命令行方式,不开启GUI模式。仿真完成后用debussy看波形,速度相当快。不敢独享,共献出来大家分享。
    debussy和modelsim协同仿真全过程。
    1.编辑modelsim根目录下的modelsim.ini文件,将; Veriuser = veriuser.sl 更换为Veriuser = novas_fli.dll。
    2.将D:NovasDebussysharePLImodelsim_fli54WINNT下的novas_fli.dll 拷贝至D:Modeltech_6.1dwin32中
    3.将D:NovasDebussysharePLImodelsim_fli54WINNT下的novas.vhd拷贝至工程所在目录
    4.编译novas.vhd文件,并将其作为一个lib加入,在testbentch中增加library novas;use novas.pkg.all;
    5.在testbentch中添加fsdbdumpfile("t1.fsdb");
    6.编译整个工程,然后仿真

    编辑SDT_VSIM.do文件,我的do文件如下。
    vlib work
    vcom novas.vhd
    vcom FIFO256.vhd
    vcom PS8TO1.vhd
    vcom rxb.vhd
    vcom sdt.vhd
    vcom SP1TO8.vhd
    vcom txb.vhd
    vcom sdt_TB.vhd
    vsim sdt_TB
    run 1000us
    quit

    然后在dos界面中输入D:Modeltech_6.1dwin32/vsim -c -do SDT_VSIM.do
    可以去debussy中看波形了
    备注:
    如果是Verilog. 则省去第4步. 就可以用了。

  • 相关阅读:
    Intellij IDEA 配置Tomcat远程调试
    maven学习二(dependencies)
    maven学习一(HelloWorld工程)
    一致性hash在分布式系统中的应用
    理解TCP之Keepalive
    理解HTTP之keep-alive
    TCP/IP,http,socket,长连接,短连接
    图解 HTTP 协议
    PHP开发的一些趣事
    vue
  • 原文地址:https://www.cnblogs.com/love29850706/p/5617231.html
Copyright © 2020-2023  润新知