• IfcEdgeLoop


    注:定义根据ISO/CD 10303-42:1992

    边环是一个非零范围的环。这是一条起点和终点相同的路径。它的域(如果存在的话)是一条闭合曲线。边缘环可能会重叠。

    注:实体改编自ISO 10303-42中定义的边缘环。

    IFC2x2中增加的新实体。

    非正式提议:

    ①IfcEdgeLoop的属应为1或更大。

    ②应满足欧拉公式:(顶点数)+亏格-(边数)=1;

    ③同一个具有相同意义的IfcEdgeLoop不能多次引用任何边。为此,非定向边的边被视为具有真实意义的引用。

    Formal Propositions

    RuleDescription
    IsClosed The start vertex of the first edge shall be the same as the end vertex of the last edge. This ensures that the path is closed to form a loop.
    IsContinuous The end vertex of each edge shall be the same as the start vertex of its successor.
     

    #AttributeTypeCardinalityDescriptionC
    IfcRepresentationItem
      LayerAssignment IfcPresentationLayerAssignment
    @AssignedItems
    S[0:1] Assignment of the representation item to a single or multiple layer(s). The LayerAssignments can override a LayerAssignments of the IfcRepresentation it is used within the list of Items. X
      StyledByItem IfcStyledItem
    @Item
    S[0:1] Reference to the IfcStyledItem that provides presentation information to the representation, e.g. a curve style, including colour and thickness to a geometric curve. X
    IfcTopologicalRepresentationItem
    IfcLoop
    IfcEdgeLoop
    1 EdgeList IfcOrientedEdge L[1:?] A list of oriented edge entities which are concatenated together to form this path. X
      Ne
    :=SIZEOF(EdgeList)
    IfcInteger [1:1] The number of elements in the edge list. X
     

    EXPRESS Specification

    ENTITY IfcEdgeLoop
     SUBTYPE OF (IfcLoop);
      EdgeList : LIST [1:?] OF IfcOrientedEdge;
     DERIVE
      Ne : IfcInteger := SIZEOF(EdgeList);
     WHERE
      IsClosed : (EdgeList[1].EdgeStart) :=: (EdgeList[Ne].EdgeEnd);
      IsContinuous : IfcLoopHeadToTail(SELF);
    END_ENTITY;
  • 相关阅读:
    Nova中的系统状态分析
    nova Flavors
    NovaException: Unexpected vif_type=binding_failed
    nova Reboot (重启)
    nova Rescue 和 Unrescue
    nova shelve
    nova Evacuate
    ceilometer alarm 创建过程中的DB操作及优化
    Ceilometer 数据库比较
    network namespace连接的4种方法及性能
  • 原文地址:https://www.cnblogs.com/herd/p/14075680.html
Copyright © 2020-2023  润新知